999精品在线视频,手机成人午夜在线视频,久久不卡国产精品无码,中日无码在线观看,成人av手机在线观看,日韩精品亚洲一区中文字幕,亚洲av无码人妻,四虎国产在线观看 ?

基于EDA平臺的虛擬電子實驗研究與實踐

2007-12-31 00:00:00邊曉娜韓清獻杜艷蕊
計算機教育 2007年14期

摘要:本文對EDA技術的特征、應用軟件Max+PlusⅡ及VHDL硬件描述語言進行了分析研究,提出了電子實驗教學的新模式,并以實踐說明基于EDA平臺的虛擬電子實驗的良好效果。

關鍵詞:EDA;VHDL;電子技術;虛擬實驗;仿真

中圖分類號:G642文獻標識碼:B

文章編號:1672-5913(2007)14-0087-03

1引言

電子技術是計算機及電類專業的一門具有極強實踐性的基礎課,其教學過程是從理論知識的認知到實踐知識的認知、最后到實踐能力的認知過程,實驗環節是整個教學過程的關鍵,對教學質量起著十分重要的作用。傳統的實踐教學方式,由于實驗儀器的局限性、設備的相對陳舊實驗效率較低,而且不具備電子設計技術及仿真功能,已經不適應現代教育的發展,更不適應遠程開放教育的現代化。為此將EDA技術應用于電子技術教學,克服傳統教學的不足,將傳統經典理論與新知識、新技術很好地融會貫通,提出基于EDA平臺的虛擬電子實驗的新模式,是適應現代教育發展的必然趨勢。

2EDA技術的研究

EDA是Electronics Design Automation(即電子設計自動化)的縮寫。是指以計算機為工作平臺,融合了電子技術、計算機技術、智能化技術最新成果的現代電子設計技術。它是由CAD發展起來的,是計算機信息技術、計算機圖形學、微電子技術、電路理論以及信號分析與信號處理等理論和技術的結晶。

EDA技術的基本特征:

(1) 自動進行產品全面設計。EDA技術根據設計輸入文件(HDL或電路原理圖)自動地進行邏輯編譯、化簡、綜合、仿真、優化、布局、布線、適配以及下載編程以生成目標系統,即將電子產品從電路功能仿真、性能分析、優化設計到結果測試的全過程在計算機上自動處理完成。

(2) 硬件電路的軟件設計方式。整個設計過程在下載配置前幾乎不涉及任何硬件,其硬件設計也是通過軟件測試實現的,如同修改程序一樣快捷方便。

(3) 集成化程度更高,可構建片上系統,且體積小,功耗低,可靠性高。隨著大規模集成芯片的發展,已能進行更加復雜電路的芯片優化設計和專用集成電路ASIC設計。

(4) 系統可現場編程,在線升級。

(5) 設計的移植性好,適合分工設計而且開發周期短,設計成本低,靈活性高。

EDA的工具種類繁多、特點各異,我們選擇了最具代表性的適合教學的仿真軟件,它是Altera 公司提供的Max+PlusⅡ平臺,具有完全集成化、易學易用的可編程邏輯設計環境,主要用于設計新器件和中大規模CPLD/FPGA。它支持硬件描述語言、電路原理圖、時序圖等多種輸入方式,利用其所提供的標準門電路、芯片等邏輯器件,完成數字電路從設計輸入、編輯、編譯、仿真、封裝到下載的全過程。MAX+PlusII平臺可以保證所設計系統的可靠性、高效性和靈活性,其強大的圖形界面和完整的幫助文檔,使學生能夠輕松快速地掌握和使用該EDA平臺,進行邏輯電路及相關系統的設計,從而達到虛擬化電子技術實踐的目的。

3VHDL語言

EDA技術需要對系統的行為、功能進行正確的描述,硬件描述語言HDL(Hardware Description Language)是各種描述方法中最能體現EDA優越性的描述方法。其描述的對象就是待設計電路的邏輯功能、實現該功能的算法、選用的電路結構以及其他各種約束條件等。

VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)超高速集成電路硬件描述語言是美國國防部在1982年作為超高速集成電路開發計劃的一部分,并于 1987年經IEEE批準為1076工業標準。VHDL作為IEEE的工業標準硬件描述語言,得到眾多EDA公司的支持,在電子工程領域,已成為事實上的通用硬件描述語言,VHDL是最具推廣前景的HDL。

VHDL具有極強的描述能力,能支持系統行為級、寄存器傳輸級和門級三個不同層次的設計,在Top_Down設計的全過程中可方便地使用同一種語言。VHDL設計并不十分關心一個具體邏輯是靠何種方式實現的,設計人員不需通過門級原理圖描述電路,而是針對目標進行功能描述,把開發者的精力集中到邏輯所實現的功能上,將設計人員的工作重心提高到了系統功能的實現與調試上,只需花較少的精力用于物理實現。由于擺脫了電路細節的束縛,使得設計工作省時省力,加快了設計周期。

VHDL具有如下優點:

(1) VHDL是一門標準化語言,它是一種通用優化設計程序語言,已被電子設計界公認為標準的設計語言,適用于目前流行的各種EDA設計工具。

(2) VHDL是一門設計輸入語言,它可將復雜的硬件電路運行過程以源程序的形式輸入到數字電路設計系統中,進行系統仿真、自動綜合。

(3) VHDL是一門網表語言,它的語言結構使它可在計算機的設計環境中,是不同設計工具間相互通訊的一種低級格式,即生成的門級網表文件,可相互替換、兼容。

(4) VHDL是一門測試語言,VHDL在進行數字電路設計描述的同時,建立測試基準,對所設計的數字電路進行功能模擬和仿真,以驗證所設計電路是否滿足功能與時序需求。

(5) VHDL是一門可讀性語言,既可被計算機接受,也易被人所理解,它具有良好的可讀性,易于修改和發現錯誤。用VHDL編寫的源程序既是設計文件,又是技術文檔。

經過對EDA技術和VHDL語言的分析探討,確立了基于EDA平臺的虛擬電子實驗課程的可行性,這種新的教學模式,能使學生在計算機上完成實驗的全部仿真過程,能解決集成電路環境、虛擬設備、虛擬器件等傳統實驗方法中無法克服的實驗條件問題,可以完成各種不同水平的實驗及課程設計,大大地提高實驗教學效率。應用EDA技術的教學活動與現代教育理念接軌,學生可掌握現代電子設計的思維和方法。

4基于EDA平臺的虛擬電子實驗仿真示例

中規模集成電路在數字電路課程中是一個很重要的知識點,是過渡到大規模集成電路的重要橋梁,所以教學中要安排中規模譯碼器、數據選擇器、計數器等多個實驗。根據中規模電路結構復雜的特點,學生在原理圖和文本輸入形式中,選擇基于Max+PlusⅡ平臺的VHDL語言輸入法進行實驗設計和仿真。以下是驗證60進制計數器邏輯功能的虛擬電子實驗仿真示例。

(1) 源程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity cdu60is --實體

port (clk1,clr,ss :in std_logic;

--端口描述

co : out std_logic;

m :out std_logic_vector (7 downto 0));

end cdu60 ;

architecture aa of cdu60 is--結構體

signal out1,out2 :integer range 0 to 9;

--定義信號

signal out3,out4 :std_logic_vector (3 downto 0); --定義信號

signal clk,cay:std_logic;--定義信號

begin

co<= cay when ss='0' else '0';

clk<=clk1 when ss='0' else clk2;

process(clk) --決定進程

begin

if clk'event and clk='1' then

if en='1' then

if clr='1' then--復位

out1<=0;

out2<=0;

if (out2=5) and (out1=9)then

out1<=0;

out2<=0;

cay<='1';

else

out1<=out1+1;

cay<='0';

if out1=9 and out2/=5 then

out1<=0;

out2<=out2+1;

cay<='0';

end if;

end if;

end if;

end if;

end if;

out3<= conv_std_logic_vector(out1,4);

out4<= conv_std_logic_vector(out2,4);

m<=out4 out3;

end process; --進程結束

end aa;

(2) 仿真輸出:

以Max+PlusⅡ10.0作為模擬平臺,將源程序保存、編譯,加入合適的激勵波形,可完成對設計系統的仿真輸出。仿真波形如圖1所示,當clr端加高電平1時完成系統復位;當計數狀態為59時重新開始下一個計數循環,并且co端有高電平1的進位輸出。仿真結果60進制計數器狀態轉換非常清楚,完全符合設計要求。

5教學效果

利用EDA技術使抽象的理論形象化、復雜的電路實際化,增強學生對電路理論的理解和對電路結構的認識,改變傳統教學中理論與實際嚴重脫節的問題,增強學生在實際工作中分析問題和解決問題的綜合能力,全面提高了學生的科技素質。虛擬電子實驗的測試仿真,使學生節省了設備檢查與大量連線花費的時間,達到事半功倍,避免了實驗的盲目性,提高了學生對實驗課的興趣。增加了教學的信息量,使學生在學習專業基礎知識的同時,掌握先進的EDA技術,有機會了解新知識和前沿技術,使教學適應電子技術的飛速發展,適應遠程教育開放式教學的現代化。

參考文獻:

[1] 王泰健. 虛擬電子實驗網絡教學平臺的開發與實踐[J]. 中國遠程教育,2005,(2):66-68.

[2] 李東生,尹學忠. 改革傳統課程教學強化EDA和集成電路設計[J]. 實驗技術與管理,2005,(4):1-5.

[3] 亓淑敏,關可. VHDL在現代電子設計技術EDA中的應用[J]. 現代電子技術,2005,(15):108-109.

投稿日期:2007-3-20

作者簡介:

劉靜(1962-),女,天津人,碩士,副教授,從事電子技術研究與教學工作。

通信地址:石家莊河北師范大學信息技術學院郵編050016

E-mail:liujing91319@tom.com

電話:13832391319

主站蜘蛛池模板: 国产精品理论片| a级毛片在线免费| 波多野结衣国产精品| 五月婷婷丁香色| 亚洲色图欧美视频| 国产欧美综合在线观看第七页| 无码有码中文字幕| 日韩精品中文字幕一区三区| 无码免费试看| 美女国产在线| 一本大道香蕉久中文在线播放| 国产亚洲精品91| 91精品人妻互换| 成人无码一区二区三区视频在线观看 | 国模在线视频一区二区三区| a级毛片免费网站| 久久综合亚洲鲁鲁九月天| 欧美在线综合视频| 久久国产精品国产自线拍| 欧美在线视频不卡| 亚洲人成人无码www| 亚洲一级毛片免费观看| 91免费在线看| 亚亚洲乱码一二三四区| 亚洲无码91视频| 91av成人日本不卡三区| 日韩国产综合精选| 国产97视频在线| 成人中文在线| 超清无码一区二区三区| 国产精品免费电影| 国产综合精品日本亚洲777| 欧美日韩一区二区在线免费观看| 欧美一区国产| 国产麻豆va精品视频| 国产亚洲精品无码专| 高清久久精品亚洲日韩Av| 国产真实自在自线免费精品| 在线视频亚洲欧美| 99国产精品免费观看视频| 免费99精品国产自在现线| 97av视频在线观看| 日本国产精品一区久久久| 爱爱影院18禁免费| 在线欧美国产| 中文字幕色站| 亚洲视频影院| 久久无码免费束人妻| 在线无码九区| 成人免费黄色小视频| 99re精彩视频| 亚洲精选无码久久久| 亚洲日韩精品综合在线一区二区| 9丨情侣偷在线精品国产| 中文字幕在线欧美| 国产精品手机在线播放| 女人18一级毛片免费观看| 99re在线免费视频| 国产福利在线免费观看| 日本草草视频在线观看| 欧美黄网在线| 亚洲首页在线观看| 五月婷婷亚洲综合| 色吊丝av中文字幕| 国产精品亚洲αv天堂无码| 九九免费观看全部免费视频| 刘亦菲一区二区在线观看| 99国产精品免费观看视频| 超碰免费91| 欧美一区二区三区国产精品| 国产高清又黄又嫩的免费视频网站| 露脸国产精品自产在线播| 色噜噜综合网| 国产99视频精品免费视频7| 在线人成精品免费视频| 久久久久国产一区二区| 亚洲欧美另类中文字幕| 精品国产一区91在线| 91啦中文字幕| 老司机午夜精品视频你懂的| 免费高清毛片| 韩国福利一区|